收藏到会员中心

文档题目:

四位二进制加法器和乘法器

四位二进制加法器和乘法器
上传会员: 仅此而已
提交日期: 2013-06-13 13:59:04
文档分类: 通信工程
浏览次数: 60
下载次数: 0
下载地址: 点击标题下载 四位二进制加法器和乘法器 (需要:110 积分)  如何获取积分?
下载提示: 不支持迅雷等下载工具,请右键另存为下载,或用浏览器下载。不退出登录1小时内重复下载不扣积分。
文档介绍: 以下为文档部分内容,全文可通过注册成本站会员下载获取。也可加管理员微信/QQ:17304545代下载。
文档字数:
文档字数:5548
题 目 四位二进制加法器和乘法器
主要内容:本文是设计的一个四位二进制加法器和四位二进制乘法器。四位二进制加法器使用门电路构成,用VHDL语言对其实现。乘法器使用VHDL语言里的乘法运算符实现,使用数码管动态显示一个三位数结果。然后用VHDL语言编写相应的程序,在计算机上实现,最后进行了加法器和乘法器的仿真分析。
要求:
(1)通过设计计算机各组成部件的器件、设计指令系统及对应的模型机、做复杂模型机的实验, CPU系统与存储器扩展设计、接口技术应用设计等课题,掌握计算机组成和接口技术的基本分析方法和设计方法,加深和巩固对理论教学和实验教学内容的掌握,进一步建立计算机系统整体概念,初步掌握微机硬件开发方法,为以后进行实际的计算机软、硬件应用开发打下良好的基础。
(2)熟练操作设计所用的软硬件系统:TDN-CM++实验系统或EDA软件。
(3)按要求编写课程设计报告,正确绘制程序流程图、实验接线图等,正确阐述设计原理、方法和实验结果。
(4)通过课程设计培养学生严谨的科学态度,认真地工作作风和团队协作精神。
(5)在老师的指导下,要求每个学生独立完成课程设计报告的全部内容。

四位二进制加法器和乘法器
 

摘  要 本文所设计的加法器是简单四位二进制加法器。计算机中的减法、乘法和除法最终都要转换成加法来运算。本实验没有用VHDL语言中的加法运算符。而是用基本门电路来实现的。通过对VHDL中算术运算符的运用,设计四位二进制乘法器。掌握乘法和除法的运算规则。
关键词 VHDL;门电路;加法器;乘法器
目 录

1 引  言……………………………………………………………………………………………………1
1.1 设计的目的…………………………………………………………………………………………1
1.2 设计的基本内容……………………………………………………………………………………1
2 EDA、VHDL简介……………………………………………………………………………………2
2.1 EDA简介…………………………………………………………………………………………2
2.2 硬件描述语言——VHDL…………………………………………………………………………2
2.2.1 VHDL简介……………………………………………………………………………2
2.2.2 VHDL语言特点………………………………………………………………………3
3 设计规划过程…………………………………………………………………………………………5
3.1加法器和乘法器的原理………………………………………………………………5
   3.1.1四位二进制加法器组成原理………………………………………………………………5
   3.1.2 四位二进制乘法器组成原理 …………………………………………………………… 5
3.2加法器和乘法器的设计………………………………………………………………………6
   3.2.1 加法器的设计………………………………………………………………………………6
   3.2.2 乘法器的设计………………………………………………………………………………7
结束语…………………………………………………………………………………………………11
致谢………………………………………………………………………………………12
参考文献…………………………………………………………………………………………………13
附件………………………………………………………………………………………………………14


(本文由word文档网(www.wordocx.com)会员上传,如需要全文请注册成本站会员下载)

热门文档下载

相关文档下载

上一篇数字钟的设计与制作 下一篇四选一数据选择器和基本触发器的..

相关栏目

最新文档下载

推荐文档下载