收藏到会员中心

文档题目:

数字钟的设计与制作

数字钟的设计与制作
上传会员: 仅此而已
提交日期: 2013-06-13 14:00:23
文档分类: 通信工程
浏览次数: 56
下载次数: 0
下载地址: 点击标题下载 数字钟的设计与制作 (需要:110 积分)  如何获取积分?
下载提示: 不支持迅雷等下载工具,请右键另存为下载,或用浏览器下载。不退出登录1小时内重复下载不扣积分。
文档介绍: 以下为文档部分内容,全文可通过注册成本站会员下载获取。也可加管理员微信/QQ:17304545代下载。
文档字数:
文档字数:4922
题 目 数字钟的设计与制作
主要内容:本毕业设计主要是利用硬件描述语言VHDL的设计思想,采用自顶向下的方法、划分模块来设计数字钟的几个模块。通过毕业设计深入理解计算机的基本原理和方法,加深对计算机组成的理解。

要求:
(1)通过对相应文献的收集、分析以及总结,给出相应课题的背景、意义
及现状研究分析。
(2)通过课题设计,掌握计算机组成原理的分析方法和设计方法。。
(3)学按要求编写毕业设计报告书,能正确阐述设计和实验结果。
(4)学生应抱着严谨认真的态度积极投入到毕业设计过程中,认真查阅相
应文献以及实现,给出个人分析、设计以及实现。

数字钟的设计与制作
摘  要  系统使用EDA技术设计了数字钟,采用硬件描述语言VHDL按模块化方式进行设计,然后进行编程,时序仿真等。利用VHDL语言完成了数字钟的设计。该数字钟能实现时、分、秒计数的显示功能,且以24小时循环计时。整个系统结构简单,使用方便,功能齐全,精度高,具有一定的开发价值。
关键字  数字钟;EDA;VHDL;

目录
1引言 1
1.1课题的背景、目的 1
1.2设计的内容 1
2 EDA、VHDL简介 2
2.1EDA技术 2
2.2硬件描述语言——VHDL 2
★VHDL的简介 2
★VHDL语言的特点 2
★VHDL的设计流程 3
3 数字钟设计 4
3.1数字钟的工作原理 4
3.2晶体振荡器 5
3.3分频器电路 6
3.4时、分、秒计数器电路 6
4 系统仿真 9
1.秒表计数器电路仿真图 9
2.小时计数器电路仿真图 9
3.分计数器电路仿真图 10
结束语 11
致谢 12
参考文献 13
附录 14

(本文由word文档网(www.wordocx.com)会员上传,如需要全文请注册成本站会员下载)

热门文档下载

相关文档下载

上一篇Pi4-DQPSK系统误码性能的MATLAB仿.. 下一篇四位二进制加法器和乘法器

相关栏目

最新文档下载

推荐文档下载