收藏到会员中心

文档题目:

移位寄存器的设计与实现

移位寄存器的设计与实现
上传会员: 仅此而已
提交日期: 2013-06-13 13:56:23
文档分类: 通信工程
浏览次数: 50
下载次数: 0
下载地址: 点击标题下载 移位寄存器的设计与实现 (需要:110 积分)  如何获取积分?
下载提示: 不支持迅雷等下载工具,请右键另存为下载,或用浏览器下载。不退出登录1小时内重复下载不扣积分。
文档介绍: 以下为文档部分内容,全文可通过注册成本站会员下载获取。也可加管理员微信/QQ:17304545代下载。
文档字数:
文档字数:5175
题 目 移位寄存器的设计与实现
主要内容:
本设计根据计算机组成原理中移位寄存器的相关知识,利用VHDL语言设计了三种不同的寄存器:双向移位寄存器、串入串出(SISO)移位寄存器、串入并出(SIPO)移位寄存器。

要求:
(1)通过对相应文献的收集、分析以及总结,给出相应课题的背景、意义
及现状研究分析。
(2)通过课题设计,掌握计算机组成原理的分析方法和设计方法。。
(3)学生按要求编写设计报告书,能正确阐述设计和实验结果。
(4)学生应抱着严谨认真的态度积极投入到设计过程中,认真查阅相
应文献以及实现,给出个人分析、设计以及实现。

移位寄存器的设计与实现
摘要:系统使用EDA技术设计了具有移位功能的寄存器,采用硬件描述语言VHDL进行设计,然后进行编程,时序仿真等。软件基于VHDL语言实现了本设计的控制功能。本设计根据移位寄存器的功能设计了三种不同的寄存器:双向移位寄存器、串入串出(SISO)移位寄存器、串入并出(SIPO)移位寄存器。整个设计过程简单,使用方便。功能齐全,精度高,具有一定的开发价值。
关键词:EDA;VHDL;移位寄存器

目录
1 引  言 1
1.1设计的目的 1
1.2 设计的内容 1
2  EDA、VHDL简介 2
2.1 EDA简介 2
2.2VHDL 2
2.2.3 VHDL的设计流程 3
3 移位寄存器设计过程 4
3.1设计规划 4
3.2 各模块工作原理及设计 4
3.2.1移位寄存器的工作原理 4
3.2.2双向移位寄存器的设计 4
3.2.3串入串出(SISO)移位寄存器的设计 5
3.2.3串入并出(SIPO)移位寄存器的设计 7
4 系统仿真 8
4.1双向移位寄存器仿真图分析 8
4.2串入串出(SISO)移位寄存器仿真图分析 8
4.3串入并出(SIPO)移位寄存器仿真图分析 8
结束语 10
致   谢 11
参考文献 12

(本文由word文档网(www.wordocx.com)会员上传,如需要全文请注册成本站会员下载)

热门文档下载

相关文档下载

上一篇四选一数据选择器和基本触发器的.. 下一篇智力竞赛抢答答器

相关栏目

最新文档下载

推荐文档下载