收藏到会员中心

文档题目:

编码器和译码器的设计

编码器和译码器的设计
上传会员: 仅此而已
提交日期: 2013-06-13 13:54:10
文档分类: 通信工程
浏览次数: 48
下载次数: 0
下载地址: 点击标题下载 编码器和译码器的设计 (需要:110 积分)  如何获取积分?
下载提示: 不支持迅雷等下载工具,请右键另存为下载,或用浏览器下载。不退出登录1小时内重复下载不扣积分。
文档介绍: 以下为文档部分内容,全文可通过注册成本站会员下载获取。也可加管理员微信/QQ:17304545代下载。
文档字数:
文档字数:5483
题 目 编码器和译码器的设计
主要内容:
本设计要求利用硬件描述语言VHDL的设计思想,设计计算机电路中编码器和译码器的各个模块,系统设计要求采用自顶向下的设计方法,系统采用VHDL语言编程实现,并对各模块进行仿真验证和分析。
要求:
(1)通过对相应文献的收集、分析以及总结,给出相应课题的背景、意义及现状研究分析。
(2)通过课题设计,掌握计算机组成原理的分析方法和设计方法。
(3)学生按要求编写设计报告书,能正确阐述设计和实验结果。
(4)学生应抱着严谨认真的态度积极投入到设计过程中,认真查阅相应文献以及实现,给出个人分析、设计以及实现。
编码器和译码器的设计
摘 要  编码器与译码器是计算机电路中基本的器件,本设计采用EDA技术设计编码和译码器。编码器由八-三优先编码器作为实例代表,而译码器则包含三-八译码器和二-四译码器两个实例模块组成。设计采用硬件描述语言VHDL把电路按模块化方式进行设计,然后进行编程、时序仿真和分析等。设计结构简单,使用方便,具有一定的应用价值。
关键字  计算机电路;EDA;编码器;译码器

目录
1引  言 1
1.1 设计的目的 1
1.2 设计的基本内容 1
2  EDA、VHDL简介 1
2.1 EDA技术 1
2.1.1 EDA技术的概念 1
2.1.2 EDA技术的特点 2
2.2 硬件描述语言——VHDL 2
2.2.1 VHDL的简介 2
2.2.2 VHDL语言的特点 2
2.2.3 VHDL的设计流程 3
3  设计规划过程 4
3.1编码器的工作原理 4
3.2译码器的工作原理 4
3.3设计中各部分的设计 4
3.3.1八-三优先编码器的设计 4
3.3.2三-八译码器的设计 5
3.3.3二-四译码器的设计 6
4 系统仿真 7
4.1八-三优先编码器仿真及分析 7
4.2三-八译码器仿真及分析 8
4.3二-四译码器仿真及分析 8
结束语 10
致谢 11
参考文献 12
附录 13

(本文由word文档网(www.wordocx.com)会员上传,如需要全文请注册成本站会员下载)

热门文档下载

相关文档下载

上一篇智力竞赛抢答答器 下一篇基本门电路和数值比较器的设计

相关栏目

最新文档下载

推荐文档下载